谭新
我努力,我成功
级别: 家园常客
精华主题: 0
发帖数量: 562 个
工控威望: 636 点
下载积分: 1503 分
在线时间: 444(小时)
注册时间: 2008-07-18
最后登录: 2014-12-14
查看谭新的 主题 / 回贴
楼主  发表于: 2009-07-25 08:46
请教各高手S7-400 CPU412 用语句表编的程序怎么转换为梯形图     .
[ 此帖被谭新在2009-07-25 09:22重新编辑 ]
deanhao
级别: *
精华主题: * 篇
发帖数量: * 个
工控威望: * 点
下载积分: * 分
在线时间: (小时)
注册时间: *
最后登录: *
查看deanhao的 主题 / 回贴
1楼  发表于: 2009-08-02 14:02
只有编写格式和LAD格式一样的用STL编写的程序,才能转化成梯形图,并不是所有的语句表都能转成梯形图
sjm213
有小知识,无大学问。
级别: 论坛版主

精华主题: 7 篇
发帖数量: 5168 个
工控威望: 7391 点
下载积分: 156583 分
在线时间: 3122(小时)
注册时间: 2007-11-21
最后登录: 2024-04-18
查看sjm213的 主题 / 回贴
2楼  发表于: 2009-08-02 17:06
CTRL+1 : LAD

CTRL+2 : STL

CTRL+1 : FBD

使用以上按键组合可在三种编程语言之间切换。
并需注意1楼所述。
谭新
我努力,我成功
级别: 家园常客
精华主题: 0
发帖数量: 562 个
工控威望: 636 点
下载积分: 1503 分
在线时间: 444(小时)
注册时间: 2008-07-18
最后登录: 2014-12-14
查看谭新的 主题 / 回贴
3楼  发表于: 2009-08-03 11:48
谢谢各位,知道转换的。